国产精品亚洲综合一区在线观看_97人妻碰碰碰爽爽爽_91免费无码区视频_男子亚洲成色av网站

產(chǎn)品圖片

產(chǎn)品分類

新聞動(dòng)態(tài)
主頁 > 新聞動(dòng)態(tài) > 多功能數(shù)字鐘的設(shè)計(jì)實(shí)驗(yàn)
2024-06-11 20:55

多功能數(shù)字鐘的設(shè)計(jì)實(shí)驗(yàn)

多功能數(shù)字鐘的設(shè)計(jì)實(shí)驗(yàn)
一、實(shí)驗(yàn)?zāi)康?br /> 1、了解數(shù)字鐘的工作原理。
2、進(jìn)一步熟悉用VHDL語言編寫驅(qū)動(dòng)七段碼管顯示的代碼。
3、掌握VHDL編寫中的一些小技巧。
二、實(shí)驗(yàn)原理
多功能數(shù)字鐘應(yīng)該具有的功能有:顯示時(shí)——分——秒、整點(diǎn)報(bào)時(shí)、小時(shí)和分鐘可調(diào)等基本功能。首先要知道鐘表的工作機(jī)理,整個(gè)鐘表的工作應(yīng)該是在1Hz信號(hào)的作用下進(jìn)行,這樣每來一個(gè)時(shí)鐘信號(hào),秒增加1秒,當(dāng)秒從59秒跳轉(zhuǎn)到00秒時(shí),分鐘增加1分,同時(shí)當(dāng)分鐘從59分跳轉(zhuǎn)到00分時(shí),小時(shí)增加1小時(shí),但是需要注意的是,小時(shí)的范圍是從0——23時(shí)。
在實(shí)驗(yàn)中為了顯示的方便,由于分鐘和秒鐘顯示的范圍都是從0——59,所以可以用一個(gè)3位的二進(jìn)制碼顯示十位,用一個(gè)四位的二進(jìn)制碼(BCD碼)顯示個(gè)位,對(duì)于小時(shí)因?yàn)樗姆秶菑?——23,所以可以用一個(gè)2位的二進(jìn)制碼顯示十位,用4位二進(jìn)制碼(BCD碼)顯示個(gè)位。
實(shí)驗(yàn)中由于七段碼管是掃描的方式顯示,所以雖然時(shí)鐘需要的是1Hz時(shí)鐘信號(hào),但是掃描確需要一個(gè)比較高頻率的信號(hào),因此為了得到準(zhǔn)確的1Hz信號(hào),必須對(duì)輸入的系統(tǒng)時(shí)鐘進(jìn)行分頻。
對(duì)于整點(diǎn)報(bào)時(shí)功能,用戶可以根據(jù)系統(tǒng)的硬件結(jié)構(gòu)和自身的具體要求來設(shè)計(jì)。本實(shí)驗(yàn)設(shè)計(jì)的是當(dāng)進(jìn)行整點(diǎn)的倒計(jì)時(shí)5秒時(shí),讓LED來閃爍進(jìn)行整點(diǎn)報(bào)時(shí)的提示。
三、實(shí)驗(yàn)內(nèi)容
本實(shí)驗(yàn)的任務(wù)就是設(shè)計(jì)一個(gè)多功能數(shù)字鐘,要求顯示格式為 小時(shí)——分鐘——秒鐘,整點(diǎn)報(bào)時(shí),報(bào)時(shí)時(shí)間為10秒,即從整點(diǎn)前10秒鐘開始進(jìn)行報(bào)時(shí)提示,喇叭開始發(fā)聲,直到過整點(diǎn)時(shí),在整點(diǎn)前5秒LED開始閃爍,過整點(diǎn)后,停止閃爍。系統(tǒng)時(shí)鐘選擇時(shí)鐘模塊的10KHz,要得到1Hz時(shí)鐘信號(hào),必須對(duì)系統(tǒng)時(shí)鐘進(jìn)行10,000次分頻。調(diào)整時(shí)間的的按鍵用按鍵模塊的BT1和BT2,BT1調(diào)節(jié)小時(shí),每按下一次,小時(shí)增加一個(gè)小時(shí),BT2調(diào)整分鐘,每按下一次,分鐘增加一分鐘。另外用BT8按鍵作為系統(tǒng)時(shí)鐘復(fù)位,復(fù)位后全部顯示00——00——00。
四、實(shí)驗(yàn)步驟
1、打開QUARTUSII軟件,新建一個(gè)工程。
2、建完工程之后,再新建一個(gè)VHDL File,打開VHDL編輯器對(duì)話框。
3、按照實(shí)驗(yàn)原理和自己的想法,在VHDL編輯窗口編寫VHDL程序,用戶可參照光盤中提供的示例程序。
4、編寫完VHDL程序后,保存起來。方法同實(shí)驗(yàn)一。
5、對(duì)自己編寫的VHDL程序進(jìn)行編譯并仿真,對(duì)程序的錯(cuò)誤進(jìn)行修改,直到完全通過編譯和仿真。
6、編譯仿真無誤后,根據(jù)用戶自己的要求進(jìn)行管腳分配。分配完成后,再進(jìn)行全編譯一次,以使管腳分配生效。
7、根據(jù)實(shí)驗(yàn)內(nèi)容用實(shí)驗(yàn)導(dǎo)線將上面管腳分配的FPGA管腳與對(duì)應(yīng)的模塊連接起來。
CLK:FPGA時(shí)鐘,接24MHZ數(shù)字時(shí)鐘。
RST:復(fù)位信號(hào),按一個(gè)按鍵開關(guān)BT1。
S1、S2:小時(shí)、分鐘調(diào)節(jié),接兩個(gè)按鍵開關(guān)BT2、BT3。
SPK:整點(diǎn)報(bào)時(shí),接喇叭/蜂鳴器接口的蜂鳴器。
DISPLAY[6..0]:顯示端口,接數(shù)碼管模塊的A、B、C、D、E、F、G。
SEL[2..]:顯示端口,接數(shù)碼管模塊的SEL2,SEL1,SEL0。
8、用下載電纜通過JTAG口將對(duì)應(yīng)的sof文件加載到FPGA中。觀察實(shí)驗(yàn)結(jié)果是否與自己的編程思想一致。
五、實(shí)驗(yàn)結(jié)果與現(xiàn)象
以設(shè)計(jì)的參考示例為例,當(dāng)設(shè)計(jì)文件加載到目標(biāo)器件后,數(shù)碼管開始顯示時(shí)間,從00-00-00開始。在整點(diǎn)的前5秒,喇叭開始發(fā)聲進(jìn)行報(bào)時(shí),一旦超過整點(diǎn),喇叭停止發(fā)聲。按動(dòng)按鍵開關(guān)的BT2、BT3小時(shí)和分鐘開始步進(jìn),進(jìn)行時(shí)間的調(diào)整。按下按鍵開關(guān)的BT1,顯示恢復(fù)到00-00-00重新開始顯示時(shí)間。
六、實(shí)驗(yàn)報(bào)告
1、 繪出仿真波形,并作說明。
2、 將實(shí)驗(yàn)原理、設(shè)計(jì)過程、編譯仿真波形和分析結(jié)果、硬件測試結(jié)果記錄下來。
3、 在此實(shí)驗(yàn)的基礎(chǔ)上試用其它的方法來實(shí)現(xiàn)數(shù)字鐘的功能,并增加其它功能。