国产精品亚洲综合一区在线观看_97人妻碰碰碰爽爽爽_91免费无码区视频_男子亚洲成色av网站

產(chǎn)品圖片

產(chǎn)品分類

新聞動(dòng)態(tài)
主頁 > 新聞動(dòng)態(tài) > 數(shù)字通信原理實(shí)驗(yàn)箱二相BPSK(DPSK)調(diào)制解調(diào)實(shí)驗(yàn)
2022-04-14 12:05

數(shù)字通信原理實(shí)驗(yàn)箱二相BPSK(DPSK)調(diào)制解調(diào)實(shí)驗(yàn)

一、數(shù)字通信原理實(shí)驗(yàn)箱實(shí)驗(yàn)?zāi)康?br />   1.掌握二相BPSK(DPSK)調(diào)制解調(diào)的工作原理及電路組成
  2.了解載頻信號的產(chǎn)生方法
  3.掌握二相絕對碼與相對碼的碼變換方法

二、數(shù)字通信原理實(shí)驗(yàn)箱實(shí)驗(yàn)電路工作原理
(一)調(diào)制實(shí)驗(yàn):
    在本實(shí)驗(yàn)中,絕對移相鍵控(PSK)是采用直接調(diào)相法來實(shí)現(xiàn)的,也就是用輸入的基帶信號直接控制已輸入載波相位的變化來實(shí)現(xiàn)相移鍵控。
PSK調(diào)制在數(shù)字通信系統(tǒng)中是一種極重要的調(diào)制方式,它具有優(yōu)越的抗干擾噪聲性能及較高的頻帶利用率。因此,PSK在許多場合下得到了十分廣泛的應(yīng)用。
本實(shí)驗(yàn)中PSK調(diào)制模塊原理框圖(如圖10-1)。從圖10-1可見,二相PSK(DPSK)的載波為1.024MHz,數(shù)字基帶信號有32Kbit/s偽隨機(jī)碼、2KHz方波、CVSD編碼信號、PC數(shù)據(jù)等。
1.載波倒相器
    模擬信號的倒相通常采用運(yùn)放來實(shí)現(xiàn)。電路由U301B等組成,來自1.024MHz載波信號輸入到U301的反相輸入端6腳,在輸出端即可得到一個(gè)反相的載波信號,即相載波信號。為了使0相載波與相載波的幅度相等,在電路中加了電位器W301和W302。
2.模擬開關(guān)相乘器
對載波的相移鍵控是用模擬開關(guān)電路實(shí)現(xiàn)的。
    0相載波與相載波分別加到模擬開關(guān)1:U302:A的輸入端(1腳)、模擬開關(guān)2:U302:B的輸入端(11腳),在數(shù)字基帶信號的信碼中,它的正極性加到模擬開關(guān)1的輸入控制端(13腳),它反極性加到模擬開關(guān)2的輸入控制端(12腳)。用來控制兩個(gè)同頻反相載波的通斷。當(dāng)信碼為“1”碼時(shí),模擬開關(guān)1的輸入控制端為高電平,模擬開關(guān)1導(dǎo)通,輸出0相載波,而模擬開關(guān)2的輸入控制端為低電平,模擬開關(guān)2截止。反之,當(dāng)信碼為“0”碼時(shí),模擬開關(guān)1的輸入控制端為低電平,模擬開關(guān)1截止。而模擬開關(guān)2的輸入控制端卻為高電平,模擬開關(guān)2導(dǎo)通。輸出相載波,兩個(gè)模擬開關(guān)的輸出通過載波輸出開關(guān)K301合路疊加后輸出為二相PSK調(diào)制信號,如圖10-2所示。
在數(shù)據(jù)傳輸系統(tǒng)中,由于相對移相鍵控調(diào)制具有較強(qiáng)的抗干擾噪聲能力,在相同的信噪比條件下,可獲得比其他調(diào)制方式(例如:ASK、FSK)更低的誤碼率,因而廣泛應(yīng)用在實(shí)際通信系統(tǒng)中。
相對移相,就是利用前后碼元載波相位的相對變化來傳遞信息,所以也稱為“差分移相”。

差分移相


模擬開關(guān)相乘器工作波形
圖10-2  模擬開關(guān)相乘器工作波形

DPSK調(diào)制是采用碼型變換加絕對調(diào)相來實(shí)現(xiàn),即把數(shù)據(jù)信息源(如偽隨機(jī)碼序列、增量調(diào)制編碼器輸出的數(shù)字信號)作為絕對碼序列an,通過碼型變換器變成相對碼序列bn,然后再用相對碼序列bn,進(jìn)行絕對移相鍵控,此時(shí)該調(diào)制的輸出就是DPSK已調(diào)信號。
    DPSK是利用前后相鄰碼元對應(yīng)的載波相對相移來表示數(shù)字信息的一種相移鍵控方式。
    絕對碼是以基帶信號碼元的電平直接表示數(shù)字信息的,如規(guī)定高電平代表“1”,低電平代表“0”。
相對碼是用基帶信號碼元的電平與前一碼元的電平有無變化來表示數(shù)字信息的,如規(guī)定:相對碼中有跳變表示1,無跳變表示0。
  

BPSK、DPSK編碼波形
圖10-3  BPSK、DPSK編碼波形
圖10-4(a)是相對碼編碼器電路,可用模二加法器延時(shí)器(延時(shí)一個(gè)碼元寬度Tb)來實(shí)現(xiàn)這兩種碼的互相轉(zhuǎn)換。

相對碼編碼器電路
圖10-4(a) 相對碼編碼器電路                   圖10-4(b) 工作波形 
設(shè)輸入的相對碼an為1110010碼,則經(jīng)過相對碼編碼器后輸出的相對碼bn為1011100,即bn= an bn–1。 圖10-4(b)是它的工作波形圖。
(二)解調(diào)實(shí)驗(yàn)
二相BPSK(DPSK)解調(diào)器的總電路方框圖如圖10-5所示。該解調(diào)器由三部分組成:載波提取電路、位定時(shí)恢復(fù)電路與信碼再生整形電路。載波恢復(fù)和位定時(shí)提取,是數(shù)字載波傳輸系統(tǒng)必不可少的重要組成部分。載波恢復(fù)的具體實(shí)現(xiàn)方案是和發(fā)送端的調(diào)制方式有關(guān)的,以相移鍵控為例,有:N次方環(huán)、科斯塔斯環(huán)(Constas環(huán))、逆調(diào)制環(huán)和判決反饋環(huán)等。近幾年來由于數(shù)字電路技術(shù)和集成電路的迅速發(fā)展,又出現(xiàn)了基帶數(shù)字處理載波跟蹤環(huán),并且已在實(shí)際應(yīng)用領(lǐng)域得到了廣泛的使用。但是,為了加強(qiáng)學(xué)生基礎(chǔ)知識(shí)的學(xué)習(xí)及對基本理論的理解,我們從實(shí)際出發(fā),選擇科斯塔斯環(huán)解調(diào)電路作為基本實(shí)驗(yàn)。
 
1.二相(BPSK,DPSK)信號輸入電路  
由BG701(3DG6)組成射隨器電路,對發(fā)送端送來的二相(BPSK、DPSK)信號進(jìn)行前后級隔離,由U701(LM311)組成模擬信號放大電路,進(jìn)一步對輸入小信號的二相(PSK、DPSK)信號進(jìn)行放大后送至鑒相器1與鑒相器2分別進(jìn)行鑒相。

解調(diào)器總方框圖
圖10-5   解調(diào)器總方框圖
  2. 科斯塔斯環(huán)提取載波原理
科斯塔斯環(huán)由U701(LM311)模擬運(yùn)放放大后的信號分兩路輸出至兩鑒相器的輸入端,鑒相器1與鑒相器2的控制信號輸入端的控制信號分別為0相載波信號與π/2相載波信號。這樣經(jīng)過兩鑒相器輸出的鑒相信號再通過有源低通濾波器濾掉其高頻分量,再由兩比較判決器完成判決解調(diào)出數(shù)字基帶信碼,由U706A與U707A構(gòu)成的相乘器電路,去掉數(shù)字基帶信號中的數(shù)字信息。得到反映恢復(fù)載波與輸入載波相位之差的誤差電壓Ud, Ud經(jīng)過環(huán)路低通濾波器R718、R719、C706濾波后,輸出了一個(gè)平滑的誤差控制電壓,去控制VCO壓控振蕩器74S124。
它的中心振蕩輸出頻率范圍從1Hz到60MHz,工作環(huán)境溫度在0~70℃,當(dāng)電源電壓工作在+5V、頻率控制電壓與范圍控制電壓都為+2V時(shí),74S124的輸出頻率表達(dá)式為:
f0 = 5×10-4/Cext,在實(shí)驗(yàn)電路中,調(diào)節(jié)精密電位器W701(100KΩ)的阻值,使頻率控制輸入電壓(74LS124的2腳)與范圍控制輸入電壓(74LS124的3腳)基本相等,此時(shí),當(dāng)電源電壓為+5V時(shí),才符合:f0 = 5×10-4/Cext,再變改電容CA701(80Pf~110Pf),使74S124的7腳輸出為2.048NHZ方波信號。74S124的6腳為使能端,低電平有效,它開啟壓控振蕩器工作;
當(dāng)74S124的第7腳輸出的中心振蕩頻率偏離2.048MHz時(shí),此時(shí)可調(diào)節(jié)W701,用頻率計(jì)監(jiān)視測量點(diǎn)TP702上的頻率值,使其準(zhǔn)確而穩(wěn)定地輸出2.048MHz的載波信號。
該2.048MHz的載波信號經(jīng)過分頻(÷2)電路:U709一次分頻變成1.024MHz載波信號,并完成π/2相移相。由U709B的9腳輸出π/2相去鑒相器2的控制信號輸入端U302D(4066)的6腳,由U709A的5腳輸出0相載波信號去鑒相器1的控制信號輸入端U302C(4066)的5腳。這樣就完成了載波恢復(fù)的功能,此時(shí)K701需選擇1-2腳。

圖10-6是該解調(diào)環(huán)各輸出測量點(diǎn)波形圖,從圖中可看出該解調(diào)環(huán)路的優(yōu)點(diǎn)是:
    ①該解調(diào)環(huán)在載波恢復(fù)的同時(shí),即可解調(diào)出數(shù)字信息。
    ②該解調(diào)環(huán)電路結(jié)構(gòu)簡單,整個(gè)載波恢復(fù)環(huán)路可用模擬和數(shù)字集成電路實(shí)現(xiàn)。
但該解調(diào)環(huán)路的缺點(diǎn)是:存在相位模糊。

三、實(shí)驗(yàn)內(nèi)容
   1.二相PSK調(diào)制實(shí)驗(yàn)
     調(diào)整好載波幅度,觀察TP301~TP306各測量點(diǎn)的波形。
2.PSK解調(diào)實(shí)驗(yàn)
3. PSK解調(diào)載波提取實(shí)驗(yàn)
將PSK的電路調(diào)整到最佳狀態(tài),逐一測量TP701~TP705各點(diǎn)處的波形,畫出波形圖并作記錄,注意相位、幅度之間的關(guān)系。

四、實(shí)驗(yàn)步驟及注意事項(xiàng)
1.打開實(shí)驗(yàn)箱右側(cè)電源開關(guān),電源指示燈亮。
2.跳線開關(guān)設(shè)置功能如下:
J301:1-2腳相連,偽隨機(jī)碼32KB/s碼型為111100010011010BPSK或其相對碼DPSK或2KHz偽隨機(jī)碼,由薄膜鍵盤選擇輸出;當(dāng)薄膜鍵盤選擇“03  DPSK”時(shí),可將TP304波形與TP110點(diǎn)波形進(jìn)行對比,觀測絕對碼與相對碼的轉(zhuǎn)換關(guān)系;J701選擇“PSK”,可在TP707點(diǎn)觀測到轉(zhuǎn)換過來的絕對碼波形,同TP110。
5-6腳相連,輸入CVSD(ΔM)編碼的數(shù)字輸出信號;
9-10腳相連,傳輸PC機(jī)數(shù)據(jù),可為PC機(jī)文件或短消息數(shù)據(jù)。
K301:1-2和3-4均相連時(shí),疊加合成開關(guān)。
K302:1-2:在已調(diào)信號中加入噪音,電位器W101調(diào)整噪聲幅度,可在噪聲模塊中TP108處測得波形(模仿實(shí)際通信中的信道噪聲,噪聲幅度不能太大);
      2-3:不加入噪音。
SW02:1-2:PSK自環(huán)(自環(huán)實(shí)驗(yàn)時(shí)必須選擇);
      2-3:斷開自環(huán),PSK可通過MODEM接口實(shí)現(xiàn)兩個(gè)實(shí)驗(yàn)平臺(tái)間的雙工通信(此實(shí)驗(yàn)將在后續(xù)章節(jié)中完成)。
3. 將本實(shí)驗(yàn)電路調(diào)整到最佳狀態(tài),逐一測量TP301~TP306、TP701~TP705各點(diǎn)處的波形,畫出波形圖并作記錄,注意相位、幅度之間的關(guān)系。
 
同相正交解調(diào)環(huán)各點(diǎn)波形圖
五、測量點(diǎn)說明
  TP301:頻率為1.024MHz方波信號,由U101芯片(EPM7128)編程產(chǎn)生,        
    TP302:1.024MHZ載波正弦波信號,可調(diào)節(jié)電位器W301改變幅度(一般2V左右)。             
TP303:1.024MHZ載波正弦波信號,與TP302反π相,可調(diào)節(jié)電位器W302改變幅度。
TP304:作為數(shù)字基帶信碼信號輸入波形,由開關(guān)J301和薄膜鍵盤選擇決定。
1-2腳相連,偽隨機(jī)碼32KB/s碼型為111100010011010BPSK或其相對碼DPSK或2KHz的方波,由薄膜鍵盤選擇輸出;
5-6腳相連,輸入CVSD(ΔM)編碼模塊的數(shù)字編碼信號輸出;
9-10腳相連,PC機(jī)數(shù)據(jù)。
TP305:PSK調(diào)制信號輸出波形。由開關(guān)K301決定。
1-2相連3-4斷開時(shí),TP305為0相載波輸出;
1-2斷開3-4相連時(shí),TP305為π相載波輸出;
1-2和3-4均相連時(shí),TP305為PSK調(diào)制信號疊加輸出。
TP306:衰減或放大的PSK調(diào)制信號輸出?烧{(diào)節(jié)電位器W303改變幅度。
K302的1-2腳相連時(shí),在調(diào)制信號中加入噪聲,模擬實(shí)際通信中的信道傳輸。
TP701:PSK解調(diào)信號輸入波形。
由開關(guān)SW02決定。
1-2腳相連時(shí):PSK自環(huán),即同一平臺(tái)上PSK調(diào)制解調(diào);
2-3相連時(shí):PSK自環(huán)斷開,PSK可通過MODEM接口實(shí)現(xiàn)兩個(gè)實(shí)驗(yàn)平臺(tái)間的雙工通信。
  TP702:壓控振蕩器輸出2.048MHz的載波信號,建議用頻率計(jì)監(jiān)視測量該點(diǎn)上的頻         率值有偏差時(shí),此時(shí)可調(diào)節(jié)W701,使其準(zhǔn)確而穩(wěn)定地輸出2.048MHz的載波信號,此時(shí)K701需選擇1-2腳。
         K701:1-2腳連為通過科斯塔斯環(huán)提取載波時(shí)鐘
               2-3腳連為CPLD直接給解調(diào)電路送一個(gè)載波時(shí)鐘
    TP703:頻率為1.024MHz的0相載波輸出信號。
    TP704:頻率為1.024MHz的π/2相載波輸出信號,對比TP703。
TP705:PSK解調(diào)輸出波形,即數(shù)字基帶信號(見“數(shù)字同步與眼圖觀察”模塊)。

PSK調(diào)制模塊波形示意圖
圖10-7  PSK調(diào)制模塊波形示意圖

六、實(shí)驗(yàn)報(bào)告要求
  1.簡述DPSK調(diào)制解調(diào)電路的工作原理及工作過程。
  2.根據(jù)實(shí)驗(yàn)測試記錄(波形、頻率、相位、幅度以及時(shí)間對應(yīng)關(guān)系)依此畫出調(diào)制解調(diào)器各測量點(diǎn)的工作波形,并給以必要的說明。

DB-8621D 通信原理綜合實(shí)驗(yàn)箱(增強(qiáng)型)
通信原理綜合實(shí)驗(yàn)箱
通信原理綜合實(shí)驗(yàn)箱

一、產(chǎn)品簡介
DB-8621D
通信原理綜合實(shí)驗(yàn)箱是針對電子和通信工程類專業(yè)學(xué)生,系統(tǒng)完成《通信原理》等現(xiàn)代通信技術(shù)相關(guān)課程實(shí)驗(yàn)專門研制的實(shí)驗(yàn)平臺(tái)。
該實(shí)驗(yàn)平臺(tái)最大的特點(diǎn)是系統(tǒng)性強(qiáng),它真實(shí)再現(xiàn)了:信源的模數(shù)轉(zhuǎn)換、模擬調(diào)制、信道仿真、模擬解調(diào)、信宿的數(shù)模轉(zhuǎn)換的頻帶傳輸過程;光纖傳輸、幀同步位同步、糾錯(cuò)譯碼、解復(fù)接、信宿的數(shù)模轉(zhuǎn)換的基帶傳輸過程;信源、信源編碼、碼分復(fù)用、傳輸、碼分解復(fù)用、信源譯碼、信宿的移動(dòng)傳輸過程;

通信原理綜合實(shí)驗(yàn)箱全部采用模塊化結(jié)構(gòu),各模塊既能完成完整通信系統(tǒng)中對應(yīng)單元部分實(shí)驗(yàn),又能由學(xué)生用單元模塊構(gòu)建一個(gè)完整通信系統(tǒng)進(jìn)行系統(tǒng)實(shí)驗(yàn),從而有助于學(xué)生理解通信系統(tǒng)中各要素的作用;實(shí)驗(yàn)平臺(tái)把通信系統(tǒng)中涉及的基本電路、終端編譯碼、調(diào)制解調(diào)、信道傳輸?shù)戎匾睦碚摪才帕讼鄳?yīng)的實(shí)驗(yàn)內(nèi)容;實(shí)驗(yàn)平臺(tái)既有基礎(chǔ)性實(shí)驗(yàn),又有采用新技術(shù)新器件(FPGA、DSP)等提高型實(shí)驗(yàn),從而完成一個(gè)理論驗(yàn)證性、綜合性、二次開發(fā)性,由低向高的系統(tǒng)學(xué)習(xí)過程。通過這些實(shí)驗(yàn)?zāi)軌虼龠M(jìn)學(xué)生對《通信原理》課程內(nèi)容的理解、掌握,并使學(xué)生對通信系統(tǒng)、當(dāng)今新技術(shù)、工程實(shí)現(xiàn)有一個(gè)較全面的了解。系統(tǒng)采用“主板+實(shí)驗(yàn)?zāi)K”相結(jié)合的靈活結(jié)構(gòu),便于學(xué)校選擇、定制、增加功能、硬件升級。
二、技術(shù)指標(biāo)
1、采用了“底板+實(shí)驗(yàn)?zāi)K”的結(jié)構(gòu),不僅按實(shí)驗(yàn)內(nèi)容與功能將電路模塊化,而且各個(gè)模塊獨(dú)立設(shè)計(jì),能方便地組合進(jìn)行單元實(shí)驗(yàn)和多種單/雙工通信系統(tǒng)實(shí)驗(yàn)。
2、實(shí)驗(yàn)?zāi)K的輸入輸出信號都采用鉚孔開放出來,由實(shí)驗(yàn)者根據(jù)實(shí)驗(yàn)需要進(jìn)行連接組合,增強(qiáng)實(shí)驗(yàn)者的參與性。
4、每個(gè)實(shí)驗(yàn)?zāi)K都采用有機(jī)玻璃覆蓋保護(hù),方便實(shí)驗(yàn)室管理。
5、實(shí)驗(yàn)中的重要參數(shù)都可以調(diào)節(jié)或設(shè)置,方便實(shí)驗(yàn)者分析對比。
6、可完成單元、系統(tǒng)實(shí)驗(yàn)幾十項(xiàng),涵蓋了終端編譯碼、線路編譯碼、調(diào)制解調(diào)、光纖、移動(dòng)等方面的內(nèi)容。
7、內(nèi)置函數(shù)信號源、數(shù)字信號源、電話接口、計(jì)算機(jī)接口、同軸電纜信道、兩個(gè)收發(fā)一體光端機(jī)信道、音頻功放等功能模塊,詳細(xì)見“系統(tǒng)組成” 項(xiàng)。
8、內(nèi)置4組穩(wěn)壓電源,全部具有短路軟截至保護(hù)自動(dòng)恢復(fù)功能,并提供電源輸出接口。
9、系統(tǒng)涉及了計(jì)算機(jī)通信、MS51、DSP、CPLD等多種技術(shù),并留有開發(fā)接口,二次開發(fā)性強(qiáng)。
三、實(shí)驗(yàn)類型
A.通信原理部分
第一部分 基礎(chǔ)實(shí)驗(yàn)
實(shí)驗(yàn)1 DDS信號發(fā)生器實(shí)驗(yàn)
實(shí)驗(yàn)2 模擬信號源實(shí)驗(yàn)
實(shí)驗(yàn)3 CPLD可編程邏輯器件實(shí)驗(yàn)
實(shí)驗(yàn)4 接收濾波放大器實(shí)驗(yàn)
實(shí)驗(yàn)5 數(shù)據(jù)通信實(shí)驗(yàn)
第二部分 原理實(shí)驗(yàn)
實(shí)驗(yàn)1 基帶信號的常見碼型變換實(shí)驗(yàn)
實(shí)驗(yàn)2 抽樣定理及其應(yīng)用實(shí)驗(yàn)
實(shí)驗(yàn)3 PCM編譯碼系統(tǒng)實(shí)驗(yàn)
實(shí)驗(yàn)4 ADPCM編譯碼系統(tǒng)實(shí)驗(yàn)
實(shí)驗(yàn)5 CVSD編譯碼系統(tǒng)實(shí)驗(yàn)
實(shí)驗(yàn)6 FSK(ASK)調(diào)制解調(diào)實(shí)驗(yàn)
實(shí)驗(yàn)7 相位鍵控PSK(DPSK)調(diào)制解調(diào)實(shí)驗(yàn)
實(shí)驗(yàn)8 數(shù)字同步技術(shù)實(shí)驗(yàn)
實(shí)驗(yàn)9 眼圖觀察測量實(shí)驗(yàn)
實(shí)驗(yàn)10 線路成形與頻分復(fù)用
實(shí)驗(yàn)11 時(shí)分復(fù)用與解復(fù)用
實(shí)驗(yàn)12 碼分復(fù)用與解復(fù)用
實(shí)驗(yàn)13 數(shù)字頻率合成實(shí)驗(yàn)
實(shí)驗(yàn)14 AMI/HDB3編譯碼實(shí)驗(yàn)
實(shí)驗(yàn)15 卷積編譯碼及糾錯(cuò)能力驗(yàn)證實(shí)驗(yàn)
實(shí)驗(yàn)16 漢明碼編譯碼及糾錯(cuò)能力驗(yàn)證實(shí)驗(yàn)
實(shí)驗(yàn)17 漢明、交織碼編譯碼及糾錯(cuò)能力驗(yàn)證實(shí)驗(yàn)
實(shí)驗(yàn)18 循環(huán)碼編譯碼及糾錯(cuò)能力驗(yàn)證實(shí)驗(yàn)
第三部分 綜合實(shí)驗(yàn)
實(shí)驗(yàn)1 信源、PCM、HDB3傳輸系統(tǒng)實(shí)驗(yàn)
實(shí)驗(yàn)2 信源、PCM、漢明碼傳輸系統(tǒng)實(shí)驗(yàn)
實(shí)驗(yàn)3 信源、PCM、漢明、交織碼傳輸系統(tǒng)實(shí)驗(yàn)
實(shí)驗(yàn)4 信源、CVSD、漢明碼傳輸系統(tǒng)實(shí)驗(yàn)
實(shí)驗(yàn)5 信源、CVSD、漢明、交織碼傳輸系統(tǒng)實(shí)驗(yàn)
實(shí)驗(yàn)6 信源、時(shí)分復(fù)接/解復(fù)接系統(tǒng)實(shí)驗(yàn)
實(shí)驗(yàn)7 信源、碼分復(fù)接/解復(fù)接系統(tǒng)實(shí)驗(yàn)
第四部分 設(shè)計(jì)實(shí)驗(yàn)
實(shí)驗(yàn)1 PCM時(shí)序控制實(shí)驗(yàn)
實(shí)驗(yàn)2 CMI編譯碼實(shí)現(xiàn)實(shí)驗(yàn)
實(shí)驗(yàn)3 絕對/相對碼轉(zhuǎn)換實(shí)驗(yàn)PC機(jī)數(shù)據(jù)、PSK傳輸系統(tǒng)實(shí)驗(yàn)
實(shí)驗(yàn)4 PC機(jī)數(shù)據(jù)、FSK傳輸系統(tǒng)實(shí)驗(yàn)
實(shí)驗(yàn)5 碼型變換、基帶編碼開發(fā)實(shí)驗(yàn)
四、標(biāo)準(zhǔn)配置表

序號 分類 硬件名稱 標(biāo)號 說明
1









函數(shù)發(fā)生器(正弦波、三角波、方波) 模塊一 頻率0.3~10KHZ連續(xù)可調(diào),幅度0~10V連續(xù)可調(diào)
2 同步信號發(fā)生器 模塊二 頻率2KHZ,幅度0~10V連續(xù)可調(diào)
3 抽樣脈沖產(chǎn)生模塊 模塊三 頻率8KHZ, 頻率2~35KHZ連續(xù)可調(diào)
4 計(jì)算機(jī)接口模塊 模塊四 提供發(fā)送輸出、接收輸入的連接接口
5 電源引接模塊 模塊五 提供-12V、+12V、+5V、-5V等系統(tǒng)電源,另提供輸出接口
6 同軸電纜傳輸模塊 模塊六 同軸電纜傳輸  
7 眼圖觀測模塊 模塊七 可觀測噪聲、串?dāng)_、理想眼圖  
8 PCM編碼記錄模塊 模塊八 自動(dòng)處理PCM編碼數(shù)據(jù)
9 功放模塊 模塊十 提供多組濾波器、音頻功放、喇叭
10 話筒模塊 模塊十一 提供話音輸入
11 軟件



配件

部分
PPT多媒體課件 贈(zèng)送 仿真電路和實(shí)驗(yàn)箱電路相一致  
12 實(shí)驗(yàn)指導(dǎo)書電子文檔(Word) 贈(zèng)送  
13 實(shí)驗(yàn)指導(dǎo)書 贈(zèng)送  
14 電源線 贈(zèng)送    
15 USB線 贈(zèng)送    
16 信號連接線 贈(zèng)送    

實(shí)驗(yàn)?zāi)K:標(biāo)準(zhǔn)配置
1 時(shí)鐘與基帶數(shù)據(jù)發(fā)生模塊 提供系統(tǒng)時(shí)鐘和各類數(shù)字信號源
2 PAM脈沖幅度調(diào)制模塊 完成抽樣定理、PAM調(diào)制、傳輸模擬實(shí)驗(yàn)
3 PCM/ADPCM編譯碼模塊 完成PCM、ADPCM編譯碼單元實(shí)驗(yàn)
4 CVSD增量調(diào)制編譯碼模塊 完成CVSD編譯碼單元實(shí)驗(yàn)
5 AMI /HDB3編譯碼模塊 完成AMI /HDB3編譯碼單元實(shí)驗(yàn)
6 噪聲模塊 模擬白噪聲信道
7 數(shù)字頻率合成模塊 完成壓控振蕩器、頻率合成實(shí)驗(yàn)
8 FSK(MSK)調(diào)制模塊 完成MSK、FSK調(diào)制實(shí)驗(yàn)
9 FSK(MSK)解調(diào)模塊 完成MSK、FSK解調(diào)實(shí)驗(yàn)
10 BPSK(DPSK)調(diào)制模塊 完成BPSK、DPSK調(diào)制實(shí)驗(yàn)
11 BPSK(DPSK)解調(diào)模塊 完成BPSK、DPSK解調(diào)實(shí)驗(yàn)
12 復(fù)接/解復(fù)接、同步提取模塊 完成多種數(shù)據(jù)的時(shí)分復(fù)接解復(fù)接、碼分復(fù)接解復(fù)接、位同步幀同步提取實(shí)驗(yàn)
13 卷積、漢明、交織、循環(huán)編碼模塊 完成卷積、漢明、交織、循環(huán)編碼實(shí)驗(yàn),多種碼型變換
14 卷積、漢明、交織、循環(huán)傳輸模塊 信道仿真
15 卷積、漢明、交織、循環(huán)譯碼模塊 完成漢明、交織、循環(huán)譯碼實(shí)驗(yàn)