国产精品亚洲综合一区在线观看_97人妻碰碰碰爽爽爽_91免费无码区视频_男子亚洲成色av网站

產(chǎn)品圖片

產(chǎn)品分類

技術(shù)文章
主頁 > 技術(shù)文章 > VGA彩條信號發(fā)生器的設(shè)計實驗
2024-06-09 06:56

VGA彩條信號發(fā)生器的設(shè)計實驗

VGA彩條信號發(fā)生器的設(shè)計

一、  實驗目的
1. 了解普通顯示器正確顯示的時序。
2. 了解VHDL產(chǎn)生VGA顯示時序的方法。
3. 進一步加強對FPGA的認識。
二、  實驗原理
 盡管顯示器的新品層出不窮,但CRT(Cathode Ray Tube,陰極射線管)的基本工作原理一直沿用了幾十年,直到今天也沒有太大的變化。顯示器是一種復雜的設(shè)備,其擴展性和可靠性也十分驚人,在這一方面,電子控制起了很大的作用,任何機械都會有磨損,唯有用電子才能延長壽命,甚至能適應數(shù)千小時的工作。電子槍是顯示像管的核心,安發(fā)出的電子束擊中光敏材料(熒光屏),刺激熒光粉就能產(chǎn)生圖像。實際上,電子槍和大體積的、功率強勁的二極管沒有什么區(qū)別,其原理也適用于電視機和示波器。
CRT分為幾個部分:Deflection  Coil(偏轉(zhuǎn)線圈)用于電子槍發(fā)射器的定位,它能夠產(chǎn)生一個強磁場,通過改變強度來移動電子槍。線圈偏轉(zhuǎn)的角度有限,當電子束傳播到一個平坦的表面時,能量會輕微的偏移目標,僅有部分熒光粉被擊中,四邊的圖像會產(chǎn)生彎曲現(xiàn)象。為了解決這個問題,顯示器生產(chǎn)廠把顯像管做成球形,讓熒光粉充分地接受能量,缺點是屏幕將變得彎曲,電子束射擊由左至右,由上至下的過程稱為刷新,不斷重復的刷新能保持圖像的持續(xù)性。
顯示器屏幕的色彩是由RGB(紅、綠、藍)三色光所合成的,我們可通過調(diào)整這三個基色調(diào)出其它的顏色,在許多圖像處理軟件里都有提供色彩調(diào)配功能,你可輸入三基色的數(shù)值來調(diào)配顏色,也可直接根據(jù)軟件提供的調(diào)色板來選擇顏色。在這一部分的功能上實驗系統(tǒng)采用專用的編解碼芯片來完成。其具體實現(xiàn)、原理我們將在以后的實驗中做詳細的說明。在本實驗中只用到了RGB三基色來組成八種顏色構(gòu)成彩條信號。
VGA顯示器在顯示過程中主要由五個信號來控制,分別是R、G、B、HS和VS。其中R、G、B分別用來驅(qū)動顯示器三個基色的顯示,即紅、綠和籃,HS是行同步信號,VS是場同步信號。在做本實驗時,由于沒有任何顯示器驅(qū)動,所以顯示器工作在默認狀態(tài),分辨率:640×480,刷新率:60Hz。在此狀態(tài)下,當VS和HS都為低電平時,VGA顯示器顯示亮的狀態(tài),其正向掃描過程約為26us。當一行掃描結(jié)束后,行同步信號HS置高電平,持續(xù)約6us后,變成低電平,在HS為高電平期間,顯示器產(chǎn)生消隱信號,這就是顯示器回掃的過程。當掃描完一場后,也就是掃描完480行以后,場同步信號VS置高電平,產(chǎn)生場同步,此同步信號可以使掃描線回到顯示器的第一行第一列位置。顯示器顯示的時序圖如下圖4-18-1所示:
CRT顯示器時序                         
圖4-18-1  CRT顯示器時序
上圖中T1為同步消隱信號,約為6us脈寬,T2為行顯示過程,約為26us,T3為行同步信號,寬度為兩個行同步周期,T4為顯示時間,約為480行周期。
三、  實驗內(nèi)容
      本實驗要完成的任務就是通過FPGA在顯示器上顯示一些條紋或圖案,要求CRT顯示器上能夠顯示橫條紋、豎條紋以及棋盤格子圖案。實驗中系統(tǒng)時鐘選擇時鐘模塊的12MHz,用一個按鍵模塊的BT1來控制顯示模式,每按下一次,屏幕上的圖案改變一次,依次為橫條紋、豎條紋以及棋盤格子圖案。實驗的輸出就直接輸出到VGA接口,通過CRT顯示器顯示出來。    
四、  實驗步驟
1、打開QUARTUSII軟件,新建一個工程。
2、建完工程之后,再新建一個VHDL File,打開VHDL編輯器對話框。
3、按照實驗原理和自己的想法,在VHDL編輯窗口編寫VHDL程序,用戶可參照光盤中提供的示例程序。
4、編寫完VHDL程序后,保存起來。方法同實驗一。
5、對自己編寫的VHDL程序進行編譯并仿真,對程序的錯誤進行修改。
6、編譯仿真無誤后,根據(jù)用戶自己的要求進行管腳分配。分配完成后,再進行全編譯一次,以使管腳分配生效。
7、根據(jù)實驗內(nèi)容用實驗導線將上面管腳分配的FPGA管腳與對應的模塊連接起來。
如果是調(diào)用的本書提供的VHDL代碼,則實驗連線如下:
CLK:FPGA時鐘,接數(shù)字時鐘CLOCK1,并將其設(shè)為12MHZ。
KEY:顯示模式選擇信號,接一個按鍵開關(guān)。
R、G、B、HS、VS: VGA控制信號,接VGA模塊的R、G、B、HS、VS端口。
8、用下載電纜通過JTAG口將對應的sof文件加載到FPGA中。觀察實驗結(jié)果是否與自己的編程思想一致。
五、  實驗結(jié)果與現(xiàn)象
以設(shè)計的參考示例為例,當設(shè)計文件加載到目標器件后,將顯示器與實驗系統(tǒng)視頻輸入輸出模塊的VGA接口連接起來,數(shù)字信號源的時鐘選擇為12MHZ。此時連接的VGA顯示屏上將會出現(xiàn)縱向的彩條信號。按下核心板上的復位按鍵RST鍵將會改變?yōu)闄M彩條和方格彩條。
六、  實驗報告
1、繪出仿真波形,并作說明。
2、將實驗原理、設(shè)計過程、編譯仿真波形和分析結(jié)果、硬件測試結(jié)果記錄下來。
3、試編寫其它圖形的VGA顯示的程序。