国产精品亚洲综合一区在线观看_97人妻碰碰碰爽爽爽_91免费无码区视频_男子亚洲成色av网站

產(chǎn)品圖片

產(chǎn)品分類

技術(shù)文章
主頁(yè) > 技術(shù)文章 > 交通燈控制電路實(shí)驗(yàn)
2024-06-04 06:44

交通燈控制電路實(shí)驗(yàn)

一、      實(shí)驗(yàn)?zāi)康?br />
1、      了解交通燈的燃滅規(guī)律。

2、      了解交通燈控制器的工作原理。

3、      熟悉VHDL語(yǔ)言編程,了解實(shí)際設(shè)計(jì)中的優(yōu)化方案。
FPGA實(shí)驗(yàn)教學(xué)系統(tǒng)

二、      實(shí)驗(yàn)原理

交通燈的顯示有很多方式,如十字路口、丁字路口等,而對(duì)于同一個(gè)路口又有很多不同的顯示要求,比如十字路口,車輛如果只要東西和南北方向通行就很簡(jiǎn)單,而如果車子可以左右轉(zhuǎn)彎的通行就比較復(fù)雜,本實(shí)驗(yàn)僅針對(duì)最簡(jiǎn)單的南北和東西直行的情況。

要完成本實(shí)驗(yàn),首先必須了解交通路燈的燃滅規(guī)律。本實(shí)驗(yàn)需要用到實(shí)驗(yàn)箱上交通燈模塊中的發(fā)光二極管,即紅、黃、綠各三個(gè)。依人們的交通常規(guī),“紅燈停,綠燈行,黃燈提醒”。其交通燈的燃滅規(guī)律為:初始態(tài)是兩個(gè)路口的紅燈全亮,之后,東西路口的綠燈亮,南北路口的紅燈亮,東西方向通車,延時(shí)一段時(shí)間后,東西路口綠燈滅,黃燈開(kāi)始閃爍。閃爍若干次后,東西路口紅燈亮,而同時(shí)南北路口的綠燈亮,南北方向開(kāi)始通車,延時(shí)一段時(shí)間后,南北路口的綠燈滅,黃燈開(kāi)始閃爍。閃爍若干次后,再切換到東西路口方向,重復(fù)上述過(guò)程。

在實(shí)驗(yàn)中使用8個(gè)七段碼管中的任意兩個(gè)數(shù)碼管顯示時(shí)間。東西路和南北路的通車時(shí)間均設(shè)定為20s。數(shù)碼管的時(shí)間總是顯示為19、18、17……2、1、0、19、18……。在顯示時(shí)間小于3秒的時(shí)候,通車方向的黃燈閃爍。

三、      實(shí)驗(yàn)內(nèi)容

本實(shí)驗(yàn)要完成任務(wù)就是設(shè)計(jì)一個(gè)簡(jiǎn)單的交通燈控制器,交通燈顯示用實(shí)驗(yàn)箱的交通燈模塊和七段碼管中的任意兩個(gè)來(lái)顯示。系統(tǒng)時(shí)鐘選擇時(shí)鐘模塊的1464Hz時(shí)鐘,黃燈閃爍時(shí)鐘要求為2Hz,七段碼管的時(shí)間顯示為1Hz脈沖,即每1s中遞減一次,在顯示時(shí)間小于3秒的時(shí)候,通車方向的黃燈以2Hz的頻率閃爍。系統(tǒng)中用核心板的RST按鍵進(jìn)行復(fù)位。

四、      實(shí)驗(yàn)步驟

1、    打開(kāi)QUARTUSII軟件,新建一個(gè)工程。

2、    建完工程之后,再新建一個(gè)VHDL File,打開(kāi)VHDL編輯器對(duì)話框。

3、    按照實(shí)驗(yàn)原理和自己的想法,在VHDL編輯窗口編寫(xiě)VHDL程序,用戶可參照光盤(pán)中提供的示例程序。

4、    編寫(xiě)完VHDL程序后,保存起來(lái)。方法同實(shí)驗(yàn)一。

5、    對(duì)自己編寫(xiě)的VHDL程序進(jìn)行編譯并仿真,對(duì)程序的錯(cuò)誤進(jìn)行修改。直到完全通過(guò)。

6、    編譯仿真無(wú)誤后,根據(jù)用戶自己的要求進(jìn)行管腳分配。分配完成后,再進(jìn)行全編譯一次,以使管腳分配生效。

7、    根據(jù)實(shí)驗(yàn)內(nèi)容用實(shí)驗(yàn)導(dǎo)線將上面管腳分配的FPGA管腳與對(duì)應(yīng)的模塊連接起來(lái)。

8、    用下載電纜通過(guò)JTAG口將對(duì)應(yīng)的sof文件加載到FPGA中。觀察實(shí)驗(yàn)結(jié)果是否與自己的編程思想一致。
FPGA實(shí)驗(yàn)教學(xué)系統(tǒng)

五、   實(shí)驗(yàn)結(jié)果與現(xiàn)象

以設(shè)計(jì)的參考示例為例,當(dāng)設(shè)計(jì)文件加載到目標(biāo)器件后。交通燈模塊的紅、綠、黃LED發(fā)光管會(huì)模擬實(shí)際中的交通信號(hào)燈的變化。此時(shí),數(shù)碼管上顯示通行的時(shí)間的到計(jì)時(shí)。當(dāng)?shù)接?jì)時(shí)到5秒時(shí),黃燈開(kāi)始閃爍。到0秒時(shí)紅綠燈開(kāi)始轉(zhuǎn)換,倒計(jì)時(shí)的時(shí)間恢復(fù)至20秒。按下按鍵開(kāi)關(guān)K1則從頭開(kāi)始顯示和計(jì)數(shù)。

六、   實(shí)驗(yàn)報(bào)告

1、    繪出仿真波形,并作說(shuō)明。

2、    試編寫(xiě)能手動(dòng)控制交通燈通行時(shí)間的交通燈控制器。

3、    將實(shí)驗(yàn)原理、設(shè)計(jì)過(guò)程、編譯仿真波形和分析結(jié)果、硬件測(cè)試結(jié)果記錄下來(lái)。

相關(guān)產(chǎn)品:



 

FPGA實(shí)驗(yàn)教學(xué)系統(tǒng)